summaryrefslogtreecommitdiff
path: root/hdl/testing/simulation/ShiftReg_sim.vcd
diff options
context:
space:
mode:
Diffstat (limited to 'hdl/testing/simulation/ShiftReg_sim.vcd')
-rw-r--r--hdl/testing/simulation/ShiftReg_sim.vcd143
1 files changed, 73 insertions, 70 deletions
diff --git a/hdl/testing/simulation/ShiftReg_sim.vcd b/hdl/testing/simulation/ShiftReg_sim.vcd
index f9d6dd4..059b493 100644
--- a/hdl/testing/simulation/ShiftReg_sim.vcd
+++ b/hdl/testing/simulation/ShiftReg_sim.vcd
@@ -1,5 +1,5 @@
$date
- Thu Jun 23 23:03:17 2022
+ Fri Jun 24 11:32:38 2022
$end
$version
MyHDL 0.11
@@ -9,136 +9,139 @@ $timescale
$end
$scope module tb $end
-$var reg 1 ! clk $end
-$var real 1 " in0 $end
-$var reg 8 # out0 $end
-$var reg 1 $ reset $end
-$scope module logic0 $end
-$var reg 1 $ reset $end
-$var reg 1 ! clk $end
-$var real 1 " in0 $end
-$var reg 8 # out0 $end
+$var reg 1 ! left_right $end
+$var reg 1 " clk $end
+$var real 1 # in0 $end
+$var reg 8 $ out0 $end
+$var reg 1 % reset $end
+$scope module ShiftReg0 $end
+$var reg 1 % reset $end
+$var reg 1 " clk $end
+$var real 1 # in0 $end
+$var reg 1 ! left_right $end
+$var reg 8 $ out0 $end
$upscope $end
$upscope $end
$enddefinitions $end
$dumpvars
0!
-s0 "
-b00000000 #
-0$
+0"
+s0 #
+b00000000 $
+0%
$end
#2
-1!
+1"
#3
#4
-0!
-1$
+0"
+1%
#6
-1!
+1"
#7
#8
-0!
-s1 "
+0"
+s1 #
#10
-1!
-b10000000 #
+1"
+b00000001 $
#11
#12
-0!
+0"
#14
-1!
-b11000000 #
+1"
+b00000011 $
#15
#16
-0!
+0"
#18
-1!
-b11100000 #
+1"
+b00000111 $
#19
#20
-0!
+0"
#22
-1!
-b11110000 #
+1"
+b00001111 $
#23
#24
-0!
+0"
#26
-1!
-b11111000 #
+1"
+b00011111 $
#27
#28
-0!
+0"
#30
-1!
-b11111100 #
+1"
+b00111111 $
#31
#32
-0!
+0"
#34
-1!
-b11111110 #
+1"
+b01111111 $
#35
#36
-0!
+0"
#38
-1!
-b11111111 #
+1"
+b11111111 $
#39
#40
-0!
+0"
#42
-1!
+1"
#43
#44
-0!
-s0 "
+0"
+s0 #
#46
-1!
-b01111111 #
+1"
+b11111110 $
#47
#48
-0!
+0"
#50
-1!
-b00111111 #
+1"
+b11111100 $
#51
#52
-0!
+0"
#54
-1!
-b00011111 #
+1"
+b11111000 $
#55
#56
-0!
+0"
#58
-1!
-b00001111 #
+1"
+b11110000 $
#59
#60
-0!
+0"
#62
-1!
-b00000111 #
+1"
+b11100000 $
#63
#64
-0!
+0"
#66
-1!
-b00000011 #
+1"
+b11000000 $
#67
#68
-0!
+0"
#70
-1!
-b00000001 #
+1"
+b10000000 $
#71
#72
-0!
+0"
#74
-1!
-b00000000 #
+1"
+b00000000 $
#75
#76
-0!
+0"