summaryrefslogtreecommitdiff
path: root/hdl/testing/simulation/ShiftReg_sim.vcd
blob: 059b493c5dca0b55e88d9667ea24def08e4f88c1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
$date
    Fri Jun 24 11:32:38 2022
$end
$version
    MyHDL 0.11
$end
$timescale
    1ns
$end

$scope module tb $end
$var reg 1 ! left_right $end
$var reg 1 " clk $end
$var real 1 # in0 $end
$var reg 8 $ out0 $end
$var reg 1 % reset $end
$scope module ShiftReg0 $end
$var reg 1 % reset $end
$var reg 1 " clk $end
$var real 1 # in0 $end
$var reg 1 ! left_right $end
$var reg 8 $ out0 $end
$upscope $end
$upscope $end

$enddefinitions $end
$dumpvars
0!
0"
s0 #
b00000000 $
0%
$end
#2
1"
#3
#4
0"
1%
#6
1"
#7
#8
0"
s1 #
#10
1"
b00000001 $
#11
#12
0"
#14
1"
b00000011 $
#15
#16
0"
#18
1"
b00000111 $
#19
#20
0"
#22
1"
b00001111 $
#23
#24
0"
#26
1"
b00011111 $
#27
#28
0"
#30
1"
b00111111 $
#31
#32
0"
#34
1"
b01111111 $
#35
#36
0"
#38
1"
b11111111 $
#39
#40
0"
#42
1"
#43
#44
0"
s0 #
#46
1"
b11111110 $
#47
#48
0"
#50
1"
b11111100 $
#51
#52
0"
#54
1"
b11111000 $
#55
#56
0"
#58
1"
b11110000 $
#59
#60
0"
#62
1"
b11100000 $
#63
#64
0"
#66
1"
b11000000 $
#67
#68
0"
#70
1"
b10000000 $
#71
#72
0"
#74
1"
b00000000 $
#75
#76
0"