summaryrefslogtreecommitdiff
path: root/hdl/testing/async_reset.py
diff options
context:
space:
mode:
Diffstat (limited to 'hdl/testing/async_reset.py')
-rw-r--r--hdl/testing/async_reset.py21
1 files changed, 0 insertions, 21 deletions
diff --git a/hdl/testing/async_reset.py b/hdl/testing/async_reset.py
deleted file mode 100644
index 4760df7..0000000
--- a/hdl/testing/async_reset.py
+++ /dev/null
@@ -1,21 +0,0 @@
-from amaranth import *
-from amaranth.cli import main
-
-
-class ClockDivisor(Elaboratable):
- def __init__(self, factor):
- self.v = Signal(factor)
- self.o = Signal()
-
- def elaborate(self, platform):
- m = Module()
- m.d.sync += self.v.eq(self.v + 1)
- m.d.comb += self.o.eq(self.v[-1])
- return m
-
-
-if __name__ == "__main__":
- m = Module()
- m.domains.sync = sync = ClockDomain("sync", async_reset=True)
- m.submodules.ctr = ctr = ClockDivisor(factor=16)
- main(m, ports=[ctr.o, sync.clk]) \ No newline at end of file