summaryrefslogtreecommitdiff
path: root/hdl/shift_reg.py
blob: dedc56b196d01aec9effee1d52a9b52d3f8585c4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
import sys
from wsgiref.util import shift_path_info
from amaranth import *
from amaranth.back import verilog, cxxrtl
from amaranth.cli import main
from amaranth.sim import Simulator, Settle, Delay

from utils import cmd

class ShiftReg(Elaboratable):
    def __init__(self, width):
        self.name = "shift_reg"

        self.load_val = Signal(width, reset=0, reset_less=True)
        self.load = Signal()
        self.reg = Signal(width)
        self.en = Signal()
        self.right_left = Signal()

        self.ports = [self.load_val, self.en, self.right_left, self.reg]

    def elaborate(self, platform):
        m = Module()

        with m.If(self.load):
            m.d.sync += self.reg.eq(self.load_val)
        with m.Else():
            with m.If(self.en):
                with m.If(self.right_left):
                    m.d.sync += self.reg.eq(self.reg << 1)
                with m.Else():
                    m.d.sync += self.reg.eq(self.reg >> 1)

        return m

def test_shift_reg(filename="out.vcd"):
    dut = ShiftReg(8)

    def proc1():
        val = 0xAB

        yield dut.load_val.eq(val)
        yield dut.en.eq(0)
        yield dut.load.eq(1)
        yield
        yield Settle()
        yield dut.load.eq(0)
        yield dut.en.eq(1)   

        for _ in range(9):
            reg_val = yield dut.reg
            assert reg_val == val, f"Incorrect shift ---EXPECTED: {hex(val)}   ---GOT: {hex(reg_val)}"
            val = val >> 1
            yield
            yield Settle()

        val = 0xBD
        yield dut.load_val.eq(val)
        yield dut.load.eq(1)
        yield dut.right_left.eq(1)
        yield
        yield Settle()
        yield dut.load.eq(0)

        for _ in range(9):
            reg_val = yield dut.reg
            assert reg_val == val, f"Incorrect shift ---EXPECTED: {hex(val)}   ---GOT: {hex(reg_val)}"
            val = (val << 1) & 0xff
            yield
            yield Settle()



    sim = Simulator(dut)
    sim.add_clock(1e-6)
    sim.add_sync_process(proc1)
    
    with sim.write_vcd(filename):
        sim.run()


if __name__ == '__main__':
    shift_reg = ShiftReg(8)
    cmd(shift_reg, test_shift_reg)