From 1fd5c82997bfb42e52ce7bff50450b65f8703cf1 Mon Sep 17 00:00:00 2001 From: jjsuperpower Date: Fri, 24 Jun 2022 11:21:17 -0500 Subject: combine shiftreg working --- hdl/testing/shift_reg.py | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) (limited to 'hdl/testing/shift_reg.py') diff --git a/hdl/testing/shift_reg.py b/hdl/testing/shift_reg.py index a98fb4c..c27b0f7 100644 --- a/hdl/testing/shift_reg.py +++ b/hdl/testing/shift_reg.py @@ -1,9 +1,7 @@ -from fileinput import filename from myhdl import * +from random import randrange import os -from sympy import Si - from constants import * class ShiftReg(): @@ -13,7 +11,7 @@ class ShiftReg(): # Main code, this is the actual logic @staticmethod @block - def logic(reset: Signal, clk: Signal, in0: Signal, out0: Signal, left_rigt: bool = 1, width: int = 8): + def ShiftReg(reset: Signal, clk: Signal, in0: Signal, out0: Signal, left_rigt: bool = 0, width: int = 8): @always_seq(clk.posedge, reset=reset) def shifter(): @@ -97,7 +95,9 @@ class ShiftReg(): tb = self.tb('_cosim') tb.run_sim() -hdl = ShiftReg() -hdl.sim() -hdl.convert() -hdl.cosim() + +def test_shift_reg(): + hdl = ShiftReg() + hdl.sim() + hdl.convert() + hdl.cosim() -- cgit v1.2.3