From 63626f2f6fc7e8912a349f120e37998cd1a05554 Mon Sep 17 00:00:00 2001 From: jjsuperpower Date: Mon, 5 Sep 2022 20:04:52 -0500 Subject: moveing file around --- archive/myhdl/Makefile | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 archive/myhdl/Makefile (limited to 'archive/myhdl/Makefile') diff --git a/archive/myhdl/Makefile b/archive/myhdl/Makefile new file mode 100644 index 0000000..10ace11 --- /dev/null +++ b/archive/myhdl/Makefile @@ -0,0 +1,13 @@ + +HDL_FOLDER = ./hdl +HDL = $(wildcard $(HDL_FOLDER)/*.py) + + +test: + py.test --disable-pytest-warnings -v $(HDL) + +test-w: + py.test -v $(HDL) + +clean: + $(RM) -rf simulation/* gen_verilog/* hdl/__pycache__ .pytest_cache \ No newline at end of file -- cgit v1.2.3