From 015bcdbc89fc38f8a515ee6a707357fbc7fdf8a3 Mon Sep 17 00:00:00 2001 From: jjsuperpower Date: Wed, 24 Aug 2022 23:30:27 -0500 Subject: Update ALU, added more ops --- .vscode/configurationCache.log | 1 - .vscode/dryrun.log | 5 - .vscode/targets.log | 422 ----------------------------------------- hdl/core.py | 209 ++++++++++++-------- 4 files changed, 135 insertions(+), 502 deletions(-) delete mode 100644 .vscode/configurationCache.log delete mode 100644 .vscode/dryrun.log delete mode 100644 .vscode/targets.log diff --git a/.vscode/configurationCache.log b/.vscode/configurationCache.log deleted file mode 100644 index 2908cc5..0000000 --- a/.vscode/configurationCache.log +++ /dev/null @@ -1 +0,0 @@ -{"buildTargets":["cc","clean","sim","test","test-w"],"launchTargets":[],"customConfigurationProvider":{"workspaceBrowse":{"browsePath":[],"compilerArgs":[]},"fileIndex":[]}} \ No newline at end of file diff --git a/.vscode/dryrun.log b/.vscode/dryrun.log deleted file mode 100644 index 9bcad8c..0000000 --- a/.vscode/dryrun.log +++ /dev/null @@ -1,5 +0,0 @@ -make --dry-run --always-make --keep-going --print-directory -make: Entering directory '/home/jon/github/ASAP32' -python3 hdl/shift_reg.py sim -make: Leaving directory '/home/jon/github/ASAP32' - diff --git a/.vscode/targets.log b/.vscode/targets.log deleted file mode 100644 index 8749a31..0000000 --- a/.vscode/targets.log +++ /dev/null @@ -1,422 +0,0 @@ -make all --print-data-base --no-builtin-variables --no-builtin-rules --question -make: *** No rule to make target 'all'. Stop. - -# GNU Make 4.3 -# Built for x86_64-suse-linux-gnu -# Copyright (C) 1988-2020 Free Software Foundation, Inc. -# License GPLv3+: GNU GPL version 3 or later -# This is free software: you are free to change and redistribute it. -# There is NO WARRANTY, to the extent permitted by law. - -# Make data base, printed on Mon Aug 15 15:20:54 2022 - -# Variables - -# environment -JAVA_HOME = /usr/lib64/jvm/java -# environment -QEMU_AUDIO_DRV = pa -# makefile (from 'Makefile', line 2) -HDL_FOLDER = ./hdl -# environment -GDK_BACKEND = x11 -# environment -LC_ALL = C -# environment -NO_AT_BRIDGE = 1 -# environment -GTK_RC_FILES = /etc/gtk/gtkrc:/home/jon/.gtkrc:/home/jon/.config/gtkrc -# environment -WINDOWMANAGER = /usr/bin/startplasma-x11 -# environment -VSCODE_CWD = /home/jon -# environment -GPG_TTY = not a tty -# environment -MACHTYPE = x86_64-suse-linux -# default -MAKE_COMMAND := make -# automatic -@D = $(patsubst %/,%,$(dir $@)) -# environment -PYTHONSTARTUP = /etc/pythonstart -# environment -VSCODE_HANDLES_UNCAUGHT_ERRORS = true -# default -.VARIABLES := -# environment -PWD = /home/jon/github/ASAP32 -# environment -HOST = WarpDrive -# automatic -%D = $(patsubst %/,%,$(dir $%)) -# environment -MORE = -sl -# environment -HOSTNAME = WarpDrive -# environment -MAIL = /var/spool/mail/jon -# environment -XNLSPATH = /usr/share/X11/nls -# environment -XDG_DATA_DIRS = /home/jon/.local/share/flatpak/exports/share:/var/lib/flatpak/exports/share:/usr/share -# automatic -^D = $(patsubst %/,%,$(dir $^)) -# automatic -%F = $(notdir $%) -# environment -QML_XHR_ALLOW_FILE_READ = 1 -# environment -VSCODE_CODE_CACHE_PATH = /home/jon/.config/Code/CachedData/da76f93349a72022ca4670c1b84860304616aaa2 -# environment -XDG_SESSION_PATH = /org/freedesktop/DisplayManager/Session0 -# environment -PROFILEREAD = true -# environment -SSH_ASKPASS = /usr/libexec/ssh/ssh-askpass -# environment -LANG = C -# environment -XAUTHORITY = /run/user/1000/xauth_QWvpuc -# environment -MANPATHISSET = yes -# default -.LOADED := -# environment -FROM_HEADER = -# default -.INCLUDE_DIRS = /usr/include /usr/local/include /usr/include -# makefile -MAKEFLAGS = pqrR -# makefile -CURDIR := /home/jon/github/ASAP32 -# environment -APPLICATION_INSIGHTS_NO_DIAGNOSTIC_CHANNEL = true -# environment -LESSOPEN = lessopen.sh %s -# automatic -*D = $(patsubst %/,%,$(dir $*)) -# environment -MFLAGS = -pqrR -# environment -SSH_AUTH_SOCK = /tmp/ssh-XXXXXXkett0U/agent.1979 -# default -.SHELLFLAGS := -c -# environment -HISTSIZE = 1000 -# environment -XDG_CONFIG_DIRS = /home/jon/.config/kdedefaults:/etc/xdg:/usr/etc/xdg -# automatic -+D = $(patsubst %/,%,$(dir $+)) -# environment -XCURSOR_THEME = Qogir-dark -# environment -LESSKEY = /usr/etc/lesskey.bin -# environment -XDG_SESSION_DESKTOP = KDE -# makefile (from 'Makefile', line 1) -MAKEFILE_LIST := Makefile -# automatic -@F = $(notdir $@) -# environment -VSCODE_PID = 6093 -# environment -XDG_SESSION_TYPE = x11 -# environment -XAUTHLOCALHOSTNAME = WarpDrive -# automatic -?D = $(patsubst %/,%,$(dir $?)) -# environment -INPUT_METHOD = ibus -# environment -SDK_HOME = /usr/lib64/jvm/java -# environment -SESSION_MANAGER = local/WarpDrive:@/tmp/.ICE-unix/2263,unix/WarpDrive:/tmp/.ICE-unix/2263 -# automatic -*F = $(notdir $*) -# environment -MANPATH = /home/jon/.local/share/man:/usr/local/man:/usr/local/share/man:/usr/share/man:/opt/cross/share/man -# environment -CHROME_DESKTOP = code-url-handler.desktop -# environment -DBUS_SESSION_BUS_ADDRESS = unix:abstract=/tmp/dbus-WsMJbPZXWc,guid=299e3b57631cde650339f25862faa8c5 -# automatic -> self.in2[0:5], 0)) - with m.Case(9): - m.d.comb += self.tmp.eq(Cat(self.in1.as_signed() >> self.in2[0:5], 0)) + + with m.Case(AluOpCodes.bit_nor.value): + m.d.comb += self.tmp.eq(Cat(~(self.in1 | self.in2), 0)) + + with m.Case(AluOpCodes.lleft.value): + m.d.comb += self.tmp.eq(Cat(self.in1, 0) << self.in2[0:5]) + + with m.Case(AluOpCodes.lright.value): + tmp2 = Signal(33) + m.d.comb += tmp2.eq(Cat(0, self.in1) >> self.in2[0:5]) + m.d.comb += self.tmp.eq(Cat(tmp2[1:33], tmp2[0])) # move shifted bit to carry bit + + with m.Case(AluOpCodes.aright.value): + tmp2 = Signal(33) + m.d.comb += tmp2.eq(Cat(0, self.in1).as_signed() >> self.in2[0:5]) + m.d.comb += self.tmp.eq(Cat(tmp2[1:33], tmp2[0])) # move shifted bit to carry bit + + with m.Case(AluOpCodes.set_bit.value): + m.d.comb += self.tmp.eq(Cat(self.in1 | (1 << self.in2[0:5]), 0)) + + with m.Case(AluOpCodes.clear_bit.value): + m.d.comb += self.tmp.eq(Cat(self.in1 & ~(1 << self.in2[0:5]), 0)) + + with m.Case(AluOpCodes.umult.value): + m.d.comb += self.tmp.eq(Cat(self.in1[0:16] * self.in2[0:16], 0)) + + with m.Case(AluOpCodes.smult.value): + m.d.comb += self.tmp.eq(Cat(self.in1[0:16].as_signed() * self.in2[0:16].as_signed(), 0)) + + + # bad juju, + # TODO: come back and check this will work + # with m.Case(AluOpCodes.udiv.value): + # m.d.comb += self.tmp.eq(Cat(self.in1 // self.in2, 0)) + + # with m.Case(AluOpCodes.sdiv.value): + # m.d.comb += self.tmp.eq(self.in1.as_signed() // self.in2.as_signed()) # for some reason I have not confirmed, signed div can yield a 33 bit number, acording to amaranth + with m.Case(): - m.d.comb += self.signed_op.eq(0) m.d.comb += self.tmp.eq(0) - m.d.comb += self.carry.eq(self.tmp[32]) + m.d.comb += self.c_out.eq(self.tmp[32]) m.d.comb += self.overflow.eq(self.tmp[32] ^ self.tmp[31]) - m.d.comb += self.sign.eq(self.tmp.as_signed() < 0) - m.d.comb += self.zero.eq(self.out == 0) - m.d.comb += self.out.eq(self.tmp[0:32]) + m.d.comb += self.neg.eq(self.out.as_signed() < 0) + m.d.comb += self.zero.eq(self.out == 0) + m.d.comb += self.odd.eq(self.out[0]) return m @@ -144,111 +201,115 @@ def test_alu(filename="alu.vcd"): dut = ALU(sim=True) def proc1(): - def sub_proc(val1, val2): + def sub_proc(val1, val2, c_in=0): yield dut.in1.eq(val1) yield dut.in2.eq(val2) + yield dut.c_in.eq(c_in) yield yield Settle() - # test unsigned addition - yield dut.op.eq(0b0000) + # test addition + yield dut.op.eq(AluOpCodes.add.value) yield from sub_proc(27, 13) out = yield dut.out assert 27 + 13 == (out), f'ERROR: {out} != {27 + 13}' - # test signed addition - yield dut.op.eq(0b0010) - yield from sub_proc(-11, 43) + # test addition with carry + yield dut.op.eq(AluOpCodes.addc.value) + yield from sub_proc(11, 43, 1) out = yield dut.out.as_signed() - assert -11 + 43 == out, f'ERROR: {out} != {-11 + 43}' + assert 11 + 43 + 1 == out, f'ERROR: {out} != {11 + 43 + 1}' - # test unsigned subtraction - yield dut.op.eq(0b0001) + # test subtraction + yield dut.op.eq(AluOpCodes.sub.value) yield from sub_proc(25, 13) out = yield dut.out assert 25 - 13 == out, f'ERROR: {out} != {25 - 13}' - # test signed subtraction - yield dut.op.eq(0b0011) - yield from sub_proc(25, -13) + # test subtraction with carry + yield dut.op.eq(AluOpCodes.subc.value) + yield from sub_proc(25, -13, 0) + out = yield dut.out.as_signed() + assert 25 + 13 -1 +0 == out, f'ERROR: {out} != {25 + 13 -1 +0}' + + # test subtraction with carry + yield dut.op.eq(AluOpCodes.subc.value) + yield from sub_proc(25, -13, 1) out = yield dut.out.as_signed() - assert 25 + 13 == out, f'ERROR: {out} != {25 + 13}' + assert 25 + 13 -1 +1 == out, f'ERROR: {out} != {25 + 13 -1 +1}' - # test unsigned logical and - yield dut.op.eq(4) + # test binary and + yield dut.op.eq(AluOpCodes.bit_and.value) yield from sub_proc(0b10101011, 0b01010101) out = yield dut.out assert 0b00000001 == out, f'ERROR: {out} != {0b00000001}' - # test unsigned logical or - yield dut.op.eq(5) + # test binary or + yield dut.op.eq(AluOpCodes.bit_or.value) yield from sub_proc(0b10101011, 0b01000101) out = yield dut.out assert 0b11101111 == out, f'ERROR: {out} != {0b11101111}' - # test logical xor - yield dut.op.eq(6) + # test binary nor + yield dut.op.eq(AluOpCodes.bit_nor.value) + yield from sub_proc(0b10001011, 0b01000101) + out = yield dut.out + assert 0b11111111111111111111111100110000 == out, f'ERROR: {bin(out)} != {bin(0b11111111111111111111111100110000)}' + + # test binary xor + yield dut.op.eq(AluOpCodes.bit_xor.value) yield from sub_proc(0b10001011, 0b01000101) out = yield dut.out assert 0b11001110 == out, f'ERROR: {out} != {0b11001110}' # test logical shift left - yield dut.op.eq(7) - yield from sub_proc(0b10001011, 5) # shift left by 5 + yield dut.op.eq(AluOpCodes.lleft.value) + yield from sub_proc(0b10001011, 25) # shift left by 5 out = yield dut.out - assert 0b1000101100000 == out, f'ERROR: {out} != {0b1000101100000}' + assert 0b00010110000000000000000000000000 == out, f'ERROR: {bin(out)} != {bin(0b00010110000000000000000000000000)}' + out = yield dut.c_out + assert 1 == out, f'ERROR: {out} != {1}' # test logical shift right - yield dut.op.eq(8) - yield from sub_proc(0b10001011, 5) # shift right by 5 + yield dut.op.eq(AluOpCodes.lright.value) + yield from sub_proc(0b10001011, 4) # shift right by 5 out = yield dut.out - assert 0b100 == out, f'ERROR: {out} != {0b100}' + assert 0b1000 == out, f'ERROR: {bin(out)} != {bin(0b1000)}' + out = yield dut.c_out + assert 1 == out, f'ERROR: {out} != {1}' # test aligned shift right - yield dut.op.eq(9) + yield dut.op.eq(AluOpCodes.aright.value) yield from sub_proc(0x80001234, 4) # shift right by 4 out = yield dut.out assert 0xF8000123 == out, f'ERROR: {out} != {0xF8000123}' + out = yield dut.c_out + assert 0 == out, f'ERROR: {out} != {0}' # test unsigned overflow - yield dut.op.eq(0b0000) + yield dut.op.eq(AluOpCodes.add.value) yield from sub_proc(0xFFFFFFFF, 1) # add 1 to 0xFFFFFFFF out = yield dut.overflow assert out == 1, f'ERROR: {out} != {1}' - out = yield dut.carry - assert out == 1, f'ERROR: {out} != {1}' - - # test signed overflow - yield dut.op.eq(0b0010) - yield from sub_proc(0x7FFFFFFF, 1) # add 1 to 0x7FFFFFFF - out = yield dut.overflow + out = yield dut.c_out assert out == 1, f'ERROR: {out} != {1}' - out = yield dut.carry - assert out == 0, f'ERROR: {out} != {0}' # test unsigned underflow - yield dut.op.eq(0b0001) + yield dut.op.eq(AluOpCodes.add.value) yield from sub_proc(0, -1) # subtract 1 from 0 out = yield dut.overflow assert out == 1, f'ERROR: {out} != {1}' - out = yield dut.carry - assert out == 1, f'ERROR: {out} != {1}' - - # test signed underflow - yield dut.op.eq(0b0010) - yield from sub_proc(0x80000000, -1) # sub 1 from 0x80000000 (most negative number in two's complement) - assert out == 1, f'ERROR: {out} != {1}' - out = yield dut.carry - assert out == 1, f'ERROR: {out} != {1}' + out = yield dut.c_out + assert out == 0, f'ERROR: {out} != {0}' # test zero - yield dut.op.eq(0b0000) + yield dut.op.eq(AluOpCodes.add.value) yield from sub_proc(0, 0) # add 0 to 0 out = yield dut.zero assert out == 1, f'ERROR: {out} != {1}' # test zero - yield dut.op.eq(0b0000) + yield dut.op.eq(AluOpCodes.add.value) yield from sub_proc(0, 1) # add 0 to 0 out = yield dut.zero assert out == 0, f'ERROR: {out} != {0}' -- cgit v1.2.3