summaryrefslogtreecommitdiff
path: root/hdl/template.py.txt
diff options
context:
space:
mode:
Diffstat (limited to 'hdl/template.py.txt')
-rw-r--r--hdl/template.py.txt4
1 files changed, 2 insertions, 2 deletions
diff --git a/hdl/template.py.txt b/hdl/template.py.txt
index cc6bdac..6ccb056 100644
--- a/hdl/template.py.txt
+++ b/hdl/template.py.txt
@@ -2,9 +2,9 @@ from amaranth import *
from amaranth.sim import Simulator, Settle, Delay
from enum import Enum, unique
-from hdl.utils import cmd, step, sim
+from hdl.utils import *
from hdl.lib.in_out_buff import InOutBuff # used for timing analysis
-
+from hdl.config import NUM_RAND_TESTS
class HDL(Elaboratable):
def __init__(self, **kargs):