summaryrefslogtreecommitdiff
path: root/arch/i386/include/kernel
diff options
context:
space:
mode:
authorDanny Holman <dholman@gymli.org>2022-01-21 12:10:05 -0600
committerDanny Holman <dholman@gymli.org>2022-01-21 12:10:05 -0600
commit8cd22309667cf3da9c357e5b7dca43e8b6a2f9c0 (patch)
tree05a7e450a702a5fc744b4bd1f96abf1952ece566 /arch/i386/include/kernel
parent5fe27abd6204dd17787d7d0046015777444357ff (diff)
arch: i386: move non-critial files out of boot
Move all files not needed for the bootstrap process out of boot and into the main x86 source directory. Signed-off-by: Danny Holman <dholman@gymli.org>
Diffstat (limited to 'arch/i386/include/kernel')
-rw-r--r--arch/i386/include/kernel/syscall.h13
-rw-r--r--arch/i386/include/kernel/vga.h33
2 files changed, 46 insertions, 0 deletions
diff --git a/arch/i386/include/kernel/syscall.h b/arch/i386/include/kernel/syscall.h
new file mode 100644
index 0000000..cded732
--- /dev/null
+++ b/arch/i386/include/kernel/syscall.h
@@ -0,0 +1,13 @@
+#ifndef I386_SYSCALL_H
+#define I386_SYSCALL_H
+
+#include <kernel/isr.h>
+
+void syscall_dispatch(struct isr_frame *frame);
+void register_syscall(void *handler(struct isr_frame*), int num);
+void sys_stop(struct isr_frame *frame);
+void sys_status(struct isr_frame *frame);
+
+void dump_reg(struct isr_frame *frame);
+
+#endif
diff --git a/arch/i386/include/kernel/vga.h b/arch/i386/include/kernel/vga.h
new file mode 100644
index 0000000..e89f202
--- /dev/null
+++ b/arch/i386/include/kernel/vga.h
@@ -0,0 +1,33 @@
+#ifndef I386_VGA_H
+#define I386_VGA_H
+
+#include <stdint.h>
+
+enum vga_color {
+ VGA_COLOR_BLACK = 0,
+ VGA_COLOR_BLUE = 1,
+ VGA_COLOR_GREEN = 2,
+ VGA_COLOR_CYAN = 3,
+ VGA_COLOR_RED = 4,
+ VGA_COLOR_MAGENTA = 5,
+ VGA_COLOR_BROWN = 6,
+ VGA_COLOR_LIGHT_GREY = 7,
+ VGA_COLOR_DARK_GREY = 8,
+ VGA_COLOR_LIGHT_BLUE = 9,
+ VGA_COLOR_LIGHT_GREEN = 10,
+ VGA_COLOR_LIGHT_CYAN = 11,
+ VGA_COLOR_LIGHT_RED = 12,
+ VGA_COLOR_LIGHT_MAGENTA = 13,
+ VGA_COLOR_LIGHT_BROWN = 14,
+ VGA_COLOR_LIGHT_WHITE = 15,
+};
+
+static inline uint8_t vga_entry_color(enum vga_color fg, enum vga_color bg) {
+ return fg | bg << 4;
+}
+
+static inline uint16_t vga_entry(unsigned char uc, uint8_t color) {
+ return (uint16_t)uc | (uint16_t)color << 8;
+}
+
+#endif